Sfoglia per Autore  

Opzioni
Mostrati risultati da 1 a 7 di 7
Titolo Data di pubblicazione Autore(i) File
Is your bus arbiter really fair? Restoring fairness in axi interconnects for FPGA SOCs 1-gen-2019 Restuccia, Francesco; Pagani, M.; Biondi, A.; Marinoni, M.; Buttazzo, G.
Modeling and analysis of bus contention for hardware accelerators in FPGA SoCs 1-gen-2020 Restuccia, F.; Pagani, M.; Biondi, A.; Marinoni, M.; Buttazzo, G.
Safely Preventing Unbounded Delays During Bus Transactions in FPGA-based SoC 1-gen-2020 Restuccia, Francesco; Biondi, Alessandro; Marinoni, Mauro; Buttazzo, Giorgio
AXI HyperConnect: A predictable, hypervisor-level interconnect for hardware accelerators in FPGA SoC 1-gen-2020 Restuccia, F.; Biondi, A.; Marinoni, M.; Cicero, G.; Buttazzo, G.
Time-Predictable Acceleration of Deep Neural Networks on FPGA SoC Platforms 1-gen-2021 Restuccia, F.; Biondi, A.
ARTe: Providing real-time multitasking to Arduino 1-gen-2022 Restuccia, F.; Pagani, M.; Mascitti, A.; Barrow, M.; Marinoni, M.; Biondi, A.; Buttazzo, G.; Kastner, R.
PAC-PL: Enabling Control-Flow Integrity with Pointer Authentication in FPGA SoC Platforms 1-gen-2022 Serra, G.; Fara, P.; Cicero, G.; Restuccia, F.; Biondi, A.
Mostrati risultati da 1 a 7 di 7
Legenda icone

  •  file ad accesso aperto
  •  file disponibili sulla rete interna
  •  file disponibili agli utenti autorizzati
  •  file disponibili solo agli amministratori
  •  file sotto embargo
  •  nessun file disponibile